User Activity

Posted on October 04, 2013 at 09:10Hi, I am facing problem in SPI master mode configuration. I can't see any response on MISO line (Slave is tested OK). Here is my SPI initialize functions.   void SPI_init()   {                      SPI->CR1     = ...
Posted on September 06, 2013 at 12:39I am trying to load program using STVD (ST-Link/V2) but getting the error ''can't communicate with the device''. I am only applying Vcc and Gnd to controller + 4 wires of SWIM cable. What should I do? Do I need t...