User Activity

Hi all,my SDRAM setup seems to work EXCEPT that I have a strange behavior:When I write any value (8, 16, 32 bit) to an address (example 0xd000004),it in fact gets written to the address - 2, in this example 0xd0000002.All written bytes are readably @...